Informacja

Drogi użytkowniku, aplikacja do prawidłowego działania wymaga obsługi JavaScript. Proszę włącz obsługę JavaScript w Twojej przeglądarce.

Wyszukujesz frazę "specyfikacja" wg kryterium: Temat


Tytuł:
Fotograficzna dokumentacja obiektów sztuki złotniczej
PHOTOGRAFIC DOCUMENTATION OF GOLD-WORK OBJECTS
Autorzy:
Górski, Wacław
Powiązania:
https://bibliotekanauki.pl/articles/535355.pdf
Data publikacji:
1977
Wydawca:
Narodowy Instytut Dziedzictwa
Tematy:
katalog polskiego złotnictwa
fototeka złotnictwa
specyfikacja zdjęć złotnictwa
inwentaryzacja złotnictwa w Polsce
fotografowanie ornamentów
Opis:
The necessity o f providing a complete photographic documentation o f the goldsmith’s objects finding themselves beyond the museums has resulted from the proceedings aiming at publication of a catalogue o f Polish gold-work. The author deals with the mode of taking photographs according to uniform principles (what is meant there are i.a. the technical condictions of taking photographs for survey purposes, the kinds o f those photographs, etc.), the necessary equipment (the cameras, light meters, negative materials) and practical possibilities of photographing the works concerned on the spot (providing for adequate background and lighting of the said works and their details and, also, of big objects e.g. the altars). The paper is based on the author’s personal experience.
Źródło:
Ochrona Zabytków; 1977, 3-4; 129-133
0029-8247
Pojawia się w:
Ochrona Zabytków
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Nowa norma dla betonu
Autorzy:
Mierzwa, J.
Powiązania:
https://bibliotekanauki.pl/articles/343555.pdf
Data publikacji:
2003
Wydawca:
Stowarzyszenie Producentów Cementu
Tematy:
beton
normalizacja europejska
PN-EN 206-1
klasyfikacja betonu
specyfikacja techniczna
kontrola zgodności
Źródło:
Budownictwo, Technologie, Architektura; 2003, 1; 46-49
1644-745X
Pojawia się w:
Budownictwo, Technologie, Architektura
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Beton towarowy - definicja, specyfikacja, dostawa, kontrola produkcji w świetle normy PN-EN 206-1:2003
Autorzy:
Szewczyk, K.
Powiązania:
https://bibliotekanauki.pl/articles/343439.pdf
Data publikacji:
2004
Wydawca:
Stowarzyszenie Producentów Cementu
Tematy:
beton towarowy
PN-EN 206-1:2003
specyfikacja
dostawa
beton projektowany
beton recepturowy
kontrola produkcji
klasa wytrzymałości
Źródło:
Budownictwo, Technologie, Architektura; 2004, 3; 30-33
1644-745X
Pojawia się w:
Budownictwo, Technologie, Architektura
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
The on-line works of virtual laboratory example solutions
Autorzy:
Piecha, J.
Bernaś, M.
Powiązania:
https://bibliotekanauki.pl/articles/333224.pdf
Data publikacji:
2005
Wydawca:
Uniwersytet Śląski. Wydział Informatyki i Nauki o Materiałach. Instytut Informatyki. Zakład Systemów Komputerowych
Tematy:
e-learning
e-zawartość
MAMS
jednostki QRU
specyfikacja jednostki
e-content
QRU units
unit specification
kay methodology
Opis:
The paper presents the one e-content example used as a pattern solution for distance learning resources development at the University of Silesia. The Intranet technologies were used as networking services for training processes control and future distribution services at the University of Silesia distance learning network. The given solution provides the development team at Distance Learning Technologies Centre with various unifications and library units of four courses that are under development at present in Department of Computer Systems, institute of Informatics. All the applications are running on MAMS (Multimedia Applications Management Shell) environment as a common frame structure for every e-lesson. The MAMS applications are provided with several mechanisms that allow monitoring the user knowledge level and study progress. Various examples of the applications layout and their characteristic features are presented in this contribution.
Źródło:
Journal of Medical Informatics & Technologies; 2005, 9; 295-301
1642-6037
Pojawia się w:
Journal of Medical Informatics & Technologies
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Zamieszane prawo [Zamówienia publiczne w budownictwie]
Autorzy:
Błaszczykowski, A.
Powiązania:
https://bibliotekanauki.pl/articles/343719.pdf
Data publikacji:
2005
Wydawca:
Stowarzyszenie Producentów Cementu
Tematy:
ustawa o zamówieniach publicznych
zamówienie publiczne
założenia projektowe
inwestor
przetarg na roboty budowlane
specyfikacja techniczna
Źródło:
Budownictwo, Technologie, Architektura; 2005, 1; 34-38
1644-745X
Pojawia się w:
Budownictwo, Technologie, Architektura
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
A qualitative trajectory calculus as a basis for representing moving objects in Geographical Information Systems
Autorzy:
Weghe, N. van de
Cohn, A. G.
Tre, G. de
Maeyer, P. de
Powiązania:
https://bibliotekanauki.pl/articles/970477.pdf
Data publikacji:
2006
Wydawca:
Polska Akademia Nauk. Instytut Badań Systemowych PAN
Tematy:
obiekt ruchomy
wnioskowanie jakościowe
specyfikacja jakościowa
moving objects
qualitative reasoning
qualitative representation
spatio-temporal modelling
Opis:
Qualitative formalisms, suited to express qualitative temporal or spatial relationships between entities, have gained wide acceptance as a useful way of abstracting from the real world. The question remains how to describe spatio-temporal concepts, such as the interaction between disconnected moving objects, adequately within a qualitative calculus and more specifically how to use this in geographical information systems. In this paper, the Basic Qualitative Trajectory Calculus (QTCB) for representing and reasoning about moving objects is presented. QTCB enables comparisons between positions of objects at different time points ro be made. The calculus is based on few primitives (i.e., distance and speed constraints), making it elegant and theoretically simple. To clarify the way in which trajectories are represented within QTCB: specific cases of movements (e.g. circular movement) are presented. To illustrate the naturalness of QTC, a "predator-prey" example is studied.
Źródło:
Control and Cybernetics; 2006, 35, 1; 97-119
0324-8569
Pojawia się w:
Control and Cybernetics
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Specyfikacja behawioralna dla rekonfigurowalnych sterowników logicznych z wykorzystaniem diagramów maszyny stanowej z języka UML 2.0
A behavioral specification for reconfigurable logic controllers using UML 2.0 state machine diagrams
Autorzy:
Bazydło, G.
Powiązania:
https://bibliotekanauki.pl/articles/152665.pdf
Data publikacji:
2007
Wydawca:
Stowarzyszenie Inżynierów i Techników Mechaników Polskich
Tematy:
UML
specyfikacja behawioralna
statechart
behavioral specification
Opis:
Język UML to graficzny język do obrazowania, specyfikowania, tworzenia i dokumentowania szeroko pojętych systemów informatycznych. Jego obecna wersja 2.0 wprowadziła wiele zmian, także w diagramach stanów, które teraz nazywają się diagramami maszyny stanowej. W referacie przedstawiono nietypowe wykorzystanie diagramów maszyny stanowej, bo do modelowania programów dla rekonfigurowalnych sterowników logicznych (specyfikacja behawioralna). Na początku referatu krótko zdefiniowano sterownik logiczny, a następnie omówiono opracowaną metodę jego specyfikacji z wykorzystaniem diagramów maszyny stanowej (UML 2.0), która, zdaniem autora, bardzo dobrze nadaje się do modelowania hierarchicznych układów współbieżnych. Zwrócono także uwagę na możliwość używania do specyfikacji programów dla sterowników logicznych, często darmowych, narzędzi UML do modelowania systemów informatycznych. Omawiane zagadnienia poparte zostały stosownymi przykładami.
The Unified Modeling Language (UML) is a language for specifying, visualizing, constructing, and documenting artifacts of software systems, as well as for business modeling and other non-software systems. The UML represents a collection of the best engineering practices that have proven successful in modeling large and complex systems [4, 5]. The authors of UML are Grady Booch, Ivar Jacobson and James Rumbaugh. The current version of the language is 2.0. The UML language contains thirteen kinds of diagrams (structure and behavior diagrams). One of the behavior diagrams is a state machine diagram that defines a set of concepts that can be used for modeling discrete behavior through finite state transtion systems [11]. The UML language can be used not only for designing software systems, but also for other kinds of them, for example reactive systems [2, 7, 10]. This paper presents a method of using the UML language for behavioral specification for logic controllers such as PLC, RLC and reconfigurable FPGAs. Emphasis is put on diagrams that represent behavioral state machines, because they refer directly to the definition of Finite State Machines [6]. It is worth mentioning that state machine diagrams support various features of the modeling systems such as hierarchy and orthogonality. This support allows for designing the behavior of the complex and orthogonal systems in an intuitive and clear way, on the selected hierarchical level. For example Figure 3 shows a state machine diagram for "Reactor" model on the highest hierarchy level and Figure 4 represent all details of the designed system (lowest hierarchy level). Also a possibility of using UML tools was discussed. As for future research, the use of other diagrams from UML is going to be investigated, e.g., use case diagrams or activity diagrams. The former can be applied to analyze the user's needs and interface of the designed device. The activity diagrams can be used to prepare testbenches for the modeled system. But the main method to model the behavior of a system are state machine diagrams.
Źródło:
Pomiary Automatyka Kontrola; 2007, R. 53, nr 5, 5; 21-23
0032-4140
Pojawia się w:
Pomiary Automatyka Kontrola
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Jak nie należy wykrywać projektu
How Not to Detect Design – Critical Notice: William A. Dembski, The Design Inference
Autorzy:
Fitelson, Branden
Stephens, Christopher
Sober, Elliott
Powiązania:
https://bibliotekanauki.pl/articles/553268.pdf
Data publikacji:
2008
Wydawca:
Uniwersytet Zielonogórski. Instytut Filozofii
Tematy:
filtr eksplanacyjny
szansa
prawdopodobieństwo
przypadek
regularność
specyfikacja
explanatory filter
likelihood
probability
chance
regularity
specification
Opis:
Artykuł stanowi analizę krytyczną koncepcji filtra eksplanacyjnego, zaprezentowanej przez Williama Dembskiego w książce The Design Inference. Filtr jest rozumiany jako formalizacja procedury prowadzącej do wykrycia, czy dane zdarzenie jest zaprojektowane poprzez zastosowanie reguł rządzących wyborem wzajemnie się wykluczających hipotez: regularności, przypadku i projektu. Autorzy odnoszą się do pojęcia szansy hipotezy rozumianej jako prawdopodobieństwo, jakie ta hipoteza nadaje zdarzeniom ,i argumentują, że w odróżnieniu od hipotez przypadku i regularności, szansa hipotezy projektu nigdy nie jest brana przez Dembskiego pod uwagę. Autorzy krytykują również klasyfikację wymienionych hipotez zaproponowaną w The Design Inference.
The article is a critical analysis of the explanatory filter concept presented by William Dembski in his book The Design Inference. The filter is understood as formalization of the procedure leading to detection whether a given event is designed. The procedure is based on application of the rules that determine the choice between mutually exclusive hypotheses: Regularity, Chance and Design. Authors refer to the notion of likelihood of a hypothesis understood as the probability that hypothesis confers on observations. They argue that, in contrast to the likelihood of Chance and Regularity hypotheses, Dembski never takes the likelihood of Design hypothesis into consideration. Authors also criticize classification of above mentioned hypotheses proposed in The Design Inference.
Źródło:
Filozoficzne Aspekty Genezy; 2007-2008, 4-5; 53-80
2299-0356
Pojawia się w:
Filozoficzne Aspekty Genezy
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Podstawowe wymagania dla stacji kolejowych ujęte w Technicznej Specyfikacji Interoperacyjności dla podsystemu "Infrastruktura", dotyczące obsługi osób o ograniczonej sprawności ruchowej
Basic requirements for railway stations included in the Technical Specification for Interoperability relative to the "Infrastructure" subsystem and concerning services provided for persons with reduced mobility
Autorzy:
Gołębiewski, M.
Powiązania:
https://bibliotekanauki.pl/articles/215506.pdf
Data publikacji:
2008
Wydawca:
Instytut Kolejnictwa
Tematy:
infrastruktura
osoby niepełnosprawne
stacja kolejowa
Techniczna Specyfikacja Interoperacyjności
infrastructure
persons with reduced mobility
railway station
Technical Specification for Interoperability
Opis:
W artykule przedstawiono wybrane wymagania dla stacji kolejowych, zawarte w Technicznej Specyfikacji Interoperacyjności - TSI (podsystemy: "Infrastruktura" i "Tabor", aspekt: "Dostępność dla osób o ograniczonej sprawności ruchowej"). Opisano pojęcia, które zawiera TSI. Omówiono najważniejsze elementy infrastruktury, ujęte w TSI oraz przedstawiono dla każdego elementu wybrane wymagania i zalecenia.
The paper presents selected requirements for railway stations included in the TSI (Technical Specification for Interoperability) - Subsystems: "Infrastructure" and "Rolling Stock" - Aspect: "Availability for persons with reduced mobility"). Terms used in the TSI are described and selected requirements and recommendations for each element are also presented.
Źródło:
Problemy Kolejnictwa; 2008, 147; 23-32
0552-2145
2544-9451
Pojawia się w:
Problemy Kolejnictwa
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Podstawowe wymagania dla wagonów pasażerskich przystosowanych do przewozu osób o ograniczonych możliwościach ruchowych - według TSI PRM
Basic requirements for the coaches adapted to the transport of persons with reduced mobility according to TSI PRM
Autorzy:
Lalik, M.
Powiązania:
https://bibliotekanauki.pl/articles/215508.pdf
Data publikacji:
2008
Wydawca:
Instytut Kolejnictwa
Tematy:
osoby niepełnosprawne
podsystem Tabor
Techniczna Specyfikacja Interoperacyjności
wagon pasażerski
coaches
persons with reduced mobility
subsystem Tabor
Technical Specification for Interoperability
Opis:
W artykule omówiono podstawowe zagadnienia dotyczące wymagań zawartych w Technicznej Specyfikacji Interoperacyjności, ustanowionej w grudniu 2007 r., w aspekcie dostępności taboru kolejowego dla osób o ograniczonej sprawności ruchowej.
Basic issues concerning the requirements included in the Technical Specification for Interoperability as introduced in December 2007 and related to the availability of the rolling stock for persons with reduced mobility have been discussed.
Źródło:
Problemy Kolejnictwa; 2008, 147; 33-47
0552-2145
2544-9451
Pojawia się w:
Problemy Kolejnictwa
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Różnice między PN-88/B-06250 Beton zwykły i PN-EN 206-1:2003 Beton. Część 1: Wymagania, właściwości, produkcja i zgodność
The differences between: PN-88/B-06250 Ordinary cencrete and PN-EN 206-1:2003 Concrete - Part 1: specification performance, production and conformity
Autorzy:
Bundyra-Oracz, G.
Powiązania:
https://bibliotekanauki.pl/articles/183018.pdf
Data publikacji:
2008
Wydawca:
Instytut Techniki Budowlanej
Tematy:
beton
skład betonu
projektowanie
właściwości
PN-88/B-06250
PN-EN 206-1:2003
wytrzymałość na ściskanie
konsystencja
trwałość
środowisko korozyjne
dodatek do betonu
specyfikacja techniczna
beton towarowy
concrete
concrete composition
designing
Polish standard
compression strength
consistency
durability
corrosive environment
concrete admixture
ready-mix concrete
Opis:
Przedstawiono różnice pomiędzy dwiema normami: PN-88/B-06250 oraz PN-EN 206-1:2003 poprzez porównanie wybranych obszarów tematycznych, które są istotne przy projektowaniu składu betonu i deklarowaniu jego właściwości. Specjalną uwagę zwrócono na szczegółowość podejścia w PN-88/B-06250 do projektowania składu betonu, co w istotnym stopniu odróżnia ten dokument od normy PN-EN 206-1. Artykuł stanowi przegląd wielu zagadnień użytecznych dla technologa i projektanta betonu.
In this paper the differences between: PN-88/B-06250 "Ordinary concrete" and PN-EN 206-1:2003 "Concrete- Part 1: Specification performance, production and conformity were presented". In report, the changes in concrete production associated with PN-EN 206-1 implementation was described.
Źródło:
Prace Instytutu Techniki Budowlanej; 2008, R. 37, nr 2, 2; 3-26
0138-0796
Pojawia się w:
Prace Instytutu Techniki Budowlanej
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Filtr eksplanacyjny: wykrywanie inteligentnego projektu na gruncie nauk przyrodniczych
Explanatory Filter: Detecting Intelligent Design in the Natural Sciences
Autorzy:
Sagan, Dariusz
Powiązania:
https://bibliotekanauki.pl/articles/2013053.pdf
Data publikacji:
2009
Wydawca:
Katolicki Uniwersytet Lubelski Jana Pawła II. Towarzystwo Naukowe KUL
Tematy:
filtr eksplanacyjny
wyspecyfikowana złożoność
specyfikacja
konieczność
przypadek
projekt
wnioskowanie o projekcie
eliminacyjne podejście do testowania hipotez
porównawcze podejście do testowania hipotez
William Dembski
explanatory filter
specified complexity
specification
necessity
chance
design
design inference
eliminative approach to hypotheses testing
comparative approach to hypotheses testing
Opis:
The main purpose of this paper is to present the concept of explanatory filter as developed by William Dembski, a proponent of intelligent design theory. The explanatory filter is intended as a tool for detecting design, i.e. for discriminating between the results of intelligent and unintelligent activity, in case when the underlying causal history is unknown. Dembski claims that the explanatory filter as a method of design detection is already widely used in various branches of knowledge, although in a pre-theoretic form. His goal is to give the filter a rigorous theoretical shape, as well as to apply it to natural sciences such as cosmology or biology. After outlining the foundations of Dembski’s proposal, various objections to the concept of explanatory filter will be analyzed.
Źródło:
Roczniki Filozoficzne; 2009, 57, 1; 157-193
0035-7685
Pojawia się w:
Roczniki Filozoficzne
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Beton architektoniczny na Stadionie Lecha w Poznaniu
Autorzy:
Czołgosz, R.
Saferna, M.
Adamczuk, T.
Powiązania:
https://bibliotekanauki.pl/articles/342989.pdf
Data publikacji:
2010
Wydawca:
Stowarzyszenie Producentów Cementu
Tematy:
beton architektoniczny
Stadion Lecha
Poznań
specyfikacja
dobór receptury
efekt wizualny
Źródło:
Budownictwo, Technologie, Architektura; 2010, 4; 60-61
1644-745X
Pojawia się w:
Budownictwo, Technologie, Architektura
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Specyfikacje techniczne. Próbne obciążenia statyczne pali fundamentowych
Autorzy:
Dziedzina, B.
Powiązania:
https://bibliotekanauki.pl/articles/364055.pdf
Data publikacji:
2010
Wydawca:
Nowoczesne Budownictwo Inżynieryjne
Tematy:
fundamenty budowlane
geotechnika
nośność pali
pale fundamentowe
próbne obciążenie
specyfikacja techniczna
Building foundations
foundation piles
geotechnics
pile capacity
specifications
Opis:
Specyfikacje techniczne (ST), będące zbiorem szczegółowych wymagań dla poszczególnych rodzajów robót, stanowią dokument przetargowy i kontraktowy w ramach konkretnego zadania inwestycyjnego. Określają wymagania dotyczące właściwości materiałów, sprzętu, środków transportu, technologii wykonania i kontroli robót, a także obmiaru, odbioru oraz płatności. W praktyce niejednokrotnie można się spotkać ze specyfikacjami, których zapisy budzą wiele wątpliwości i wymagają przedstawienia przez projektanta dodatkowych wyjaśnień. Skorzystanie z jednolitego wzoru prawidłowo opracowanych specyfikacji może zapobiec wielu problemom.
Źródło:
Nowoczesne Budownictwo Inżynieryjne; 2010, 1; 56-57
1734-6681
Pojawia się w:
Nowoczesne Budownictwo Inżynieryjne
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Sprzętowa implementacja algorytmu detekcji wzorców błędów DCT w hybrydowym algorytmie maskowania błędów transmisji obrazu stałego HECA
Hardware implementation of DCT error pattern detection module of hybrid error concealment algorithm HECA
Autorzy:
Andrzejewski, G.
Zając, W.
Powiązania:
https://bibliotekanauki.pl/articles/158055.pdf
Data publikacji:
2010
Wydawca:
Stowarzyszenie Inżynierów i Techników Mechaników Polskich
Tematy:
detekcja wzorców DCT
maskowanie błędów transmisji
przetwarzanie danych wizyjnych
specyfikacja zachowania
implementacja sprzętowa algorytmu w FPGA
DCT error detection
error concealment
visual data processing
behaviour specification
hardware implementation in FPGA
Opis:
W artykule przedstawiono wyniki badań nad realizacją sprzętową modułu detekcji wzorców błędów transmisji obrazu stałego. Jest on częścią hybrydowego algorytmu maskowania błędów transmisji HECA. Opisano podstawy działania aparatu analitycznego, algorytm jego działania oraz realizację sprzętową na poziomie behawioralnym. Wyróżniono najistotniejsze bloki implementacyjne, zaprezentowano wyniki syntezy w środowisku Quartus II v.9.1 dla układu FPGA klasy Stratix III EP3SL70 oraz przedyskutowano uzyskane wyniki.
The paper presents a conception of hardware implementation of DCT pattern detection module of Hybrid Error Concealment Algorithm (HECA) [2]. The research is aimed at implementing a hardware version of the module, using possibilities of parallel operation in FPGA and optimizing the algorithm structure for hardware implementation and performance. Paragraph 1 gives introduction to digital image transmission error concealment. Paragraph 2 presents a structure and operation of the HECA algorithm. The dataflow is presented (Fig. 1.) and the implemented module is identified. Paragraph 3 deals with the mechanism of DCT error pattern occurring [3] and describes a method for detection of such patterns [2]. Paragraph 4 is focused on operation of the error pattern module of HECA in details. There are presented specific features of error patterns for a given DCT block size (Tab. 1) The erroneous block data example is shown in Fig. 2., while the error location storing example is presented in Fig. 3. The operation algorithm for error pattern detection is discussed and presented in Fig. 4. Paragraph 5 describes hardware implementation procedures. The implementation process is presented, the structure of hardware solution is shown (Figs. 5, 6, 7.) and discussed. The hardware resources consumption of the synthesis results is given in Tab. 2. Paragraph 6 contains the conclusion and directions for the future work. The research conclusions are that the DCT error pattern detection algorithm can be successfully implemented in FPGA with acceptable resources consumption. Such an implementation allows performing some of the algorithm elements in parallel, accelerating the operation. The problem is that the data amount tends to be high and it would be recommended to develop more effective notation to store such data in FPGA.
Źródło:
Pomiary Automatyka Kontrola; 2010, R. 56, nr 10, 10; 1123-1126
0032-4140
Pojawia się w:
Pomiary Automatyka Kontrola
Dostawca treści:
Biblioteka Nauki
Artykuł

Ta witryna wykorzystuje pliki cookies do przechowywania informacji na Twoim komputerze. Pliki cookies stosujemy w celu świadczenia usług na najwyższym poziomie, w tym w sposób dostosowany do indywidualnych potrzeb. Korzystanie z witryny bez zmiany ustawień dotyczących cookies oznacza, że będą one zamieszczane w Twoim komputerze. W każdym momencie możesz dokonać zmiany ustawień dotyczących cookies