Informacja

Drogi użytkowniku, aplikacja do prawidłowego działania wymaga obsługi JavaScript. Proszę włącz obsługę JavaScript w Twojej przeglądarce.

Wyszukujesz frazę "modelowanie behawioralne" wg kryterium: Temat


Wyświetlanie 1-3 z 3
Tytuł:
Problem hierarchii w transformacji diagramów aktywności UML 2.x do sieci Petriego sterowania
Hierarchy problem in transformation of UML 2.x Activity Diagrams into Control Interpreted Petri Nets
Autorzy:
Grobelny, M.
Grobelna, I.
Powiązania:
https://bibliotekanauki.pl/articles/156952.pdf
Data publikacji:
2011
Wydawca:
Stowarzyszenie Inżynierów i Techników Mechaników Polskich
Tematy:
diagramy aktywności UML
sieci Petriego sterowania
modelowanie behawioralne
metody formalnej weryfikacji
UML activity diagrams
control Petri nets
behavioral modelling
formal verification methods
Opis:
Proces specyfikacji zachowania odgrywa istotną rolę z powodu określania na tym etapie cech i sposobu funkcjonowania sterownika logicznego. W artykule omówione zostały dwie metody graficznego specyfikowania zachowania sterowników logicznych - diagramy aktywności języka UML 2.x oraz sieci Petriego sterowania. Zaproponowana została metoda transformacji hierarchicznych diagramów aktywności do hierarchicznych sieci Petriego. Dzięki przedstawionej metodzie możliwe jest połączenie zalet obu typów graficznego opisu specyfikacji urządzeń. Dodatkowo, zaproponowana została metoda formalnej weryfikacji hierarchicznej formy specyfikacji umożliwiająca wykrycie potencjalnych błędów na tym wczesnym etapie projektu.
Behavior specification is one of the most important steps in embedded systems design. It plays a significant role because system properties and functionality are specified in this phase. There exist some techniques which can be helpful for a designer. In the paper two methods for graphical specification of logic controller behavioral specification [1, 2, 6], namely UML 2.x activity diagrams [10] and control Petri nets [11], are considered. A novel transformation method for transformation of hierarchical activity diagrams into hierarchical Petri nets is proposed. The presented method allows combining the advantages of both types of graphical system specification. Additionally, a formal verification method for hierarchical specification form is proposed. It enables detecting potential errors at early stage of system development. Hierarchical form of specification is commonly used in design process. Activity diagrams can include complex actions (Fig. 1) which describe some subprocesses. Petri nets also support hierarchy, but it can be realized in two different forms [9] - as macroplaces or macrotransitions (Fig. 2). According to the transformation method from [3, 8], actions in activity diagrams are interpreted as transitions in Petri net. In hierarchical processes by means of Petri nets two elements, macrotransitions (Fig. 3) and macroplaces (Fig. 4), have to be considered. The macroplace (Fig. 5a) can be surrounded by two transitions (Fig. 5b), decomposed (Fig. 5c), and finally compressed to macrotransition (Fig. 5d), then transformed into complex activity in an UML 2.x activity diagram (Fig. 5e). Verification of both considered specification forms [3] allows comparing two versions of the same behavior description. The model checking technique [4] can be used to verify the whole system or a part of it. Partial verification can be used for hierarchical specifications, as the verification process can be performed step by step (Fig. 6).
Źródło:
Pomiary Automatyka Kontrola; 2011, R. 57, nr 7, 7; 729-732
0032-4140
Pojawia się w:
Pomiary Automatyka Kontrola
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Respiratory system model based on PSPICE
Autorzy:
Masana, F. N.
Powiązania:
https://bibliotekanauki.pl/articles/398140.pdf
Data publikacji:
2015
Wydawca:
Politechnika Łódzka. Wydział Mikroelektroniki i Informatyki
Tematy:
respiratory system model
analog behavioral modeling
nonlinear model
open simulation environment
PSPICE
FVC maneuver
tidal breathing
model układu oddechowego
ABM
modelowanie behawioralne
model nieliniowy
środowisko symulacji
manewr FVC
oddychanie spokojne
Opis:
The aim of the present work is the building of a lumped nonlinear dynamic model of lung/airway mechanics using generic instead of specific software, in an attempt to offer an open simulation environment. Based on the analogy between pneumatic and electric magnitudes, an electrical equivalent circuit of the lung/airway mechanics is derived. Then, the nonlinear circuit elements are constructed by means of the powerful Analog Behavioral Modeling (ABM) building blocks and the system is solved using PSPICE. Following the approach in [3] and [4], five lumps are defined: two capacitors (elastances) corresponding to lung and collapsible airway segment and three resistors, corresponding to lung, collapsible airway segment and upper airway. The element definition involves as much as five parameters for the lung, four parameters for the collapsible segment and two parameters for the upper airway. The model does not attempt to mimic any particular system adjusting a given set of parameters but instead to provide a tool to explore the relationship between a given parameter or set of parameters and the system response. In particular, Forced Vital Capacity (FVC) maneuver and tidal breathing will be explored.
Źródło:
International Journal of Microelectronics and Computer Science; 2015, 6, 3; 102-109
2080-8755
2353-9607
Pojawia się w:
International Journal of Microelectronics and Computer Science
Dostawca treści:
Biblioteka Nauki
Artykuł
Tytuł:
Analysis and simulation modeling of programmable circuits using digital potentiometers
Autorzy:
Pandiev, I. M
Powiązania:
https://bibliotekanauki.pl/articles/398071.pdf
Data publikacji:
2014
Wydawca:
Politechnika Łódzka. Wydział Mikroelektroniki i Informatyki
Tematy:
mixed analogue digital integrated circuits
digital potentiometer
programmable circuits
frequency domain analysis
behavioral modelling
circuit simulation
analogowo-cyfrowe układy scalone
potencjometr cyfrowy
układy programowalne
analiza dziedziny częstotliwości
modelowanie behawioralne
symulacja obwodu
Opis:
In this paper an object of analysis and simulation modeling are the basic programmable circuits using CMOS digital potentiometers or Resistive Digital-to-Analog Converters (RDACs). Based on the analysis and principle of operation an improved SPICE-based behavioral model for RDAC potentiometers is created. The model accurately reflects resolution (wiper steps), nominal end-to-end resistance, wiper resistance, linear and nonlinear increment/decrement of the wiper, common-mode leakage current, operating bandwidth, analog crosstalk, temperature coefficients and noise effects. Model parameters are extracted for the dual RDAC potentiometer AD5235 from Analog Devices as an example. The workability of the proposed simulation model is verified by simulation modeling and experimental testing of sample electronic circuits.
Źródło:
International Journal of Microelectronics and Computer Science; 2014, 5, 4; 127-135
2080-8755
2353-9607
Pojawia się w:
International Journal of Microelectronics and Computer Science
Dostawca treści:
Biblioteka Nauki
Artykuł
    Wyświetlanie 1-3 z 3

    Ta witryna wykorzystuje pliki cookies do przechowywania informacji na Twoim komputerze. Pliki cookies stosujemy w celu świadczenia usług na najwyższym poziomie, w tym w sposób dostosowany do indywidualnych potrzeb. Korzystanie z witryny bez zmiany ustawień dotyczących cookies oznacza, że będą one zamieszczane w Twoim komputerze. W każdym momencie możesz dokonać zmiany ustawień dotyczących cookies