Informacja

Drogi użytkowniku, aplikacja do prawidłowego działania wymaga obsługi JavaScript. Proszę włącz obsługę JavaScript w Twojej przeglądarce.

Wyszukujesz frazę "hybrid current/voltage mode circuits" wg kryterium: Temat


Wyświetlanie 1-1 z 1
Tytuł:
A Survey Addressing on High Performance On-Chip VLSI Interconnect
Autorzy:
Mohamed Yousuff, C.
Mohamed Yousuf Hasan, V.
Khan Galib, M. R.
Powiązania:
https://bibliotekanauki.pl/articles/226784.pdf
Data publikacji:
2013
Wydawca:
Polska Akademia Nauk. Czytelnia Czasopism PAN
Tematy:
current-mode signaling
hybrid current/voltage mode circuits
on-chip interconnects delay and power
voltage mode signaling
Opis:
With the rapid increase in transmission speeds of communication systems, the demand for very high-speed lowpower VLSI circuits is on the rise. Although the performance of CMOS technologies improves notably with scaling, conventional CMOS circuits cannot simultaneously satisfy the speed and power requirements of these applications. In this paper we survey the state of the art of on-chip interconnect techniques for improving performance, power and delay optimization and also comparative analysis of various techniques for high speed design have been discussed.
Źródło:
International Journal of Electronics and Telecommunications; 2013, 59, 3; 307-312
2300-1933
Pojawia się w:
International Journal of Electronics and Telecommunications
Dostawca treści:
Biblioteka Nauki
Artykuł
    Wyświetlanie 1-1 z 1

    Ta witryna wykorzystuje pliki cookies do przechowywania informacji na Twoim komputerze. Pliki cookies stosujemy w celu świadczenia usług na najwyższym poziomie, w tym w sposób dostosowany do indywidualnych potrzeb. Korzystanie z witryny bez zmiany ustawień dotyczących cookies oznacza, że będą one zamieszczane w Twoim komputerze. W każdym momencie możesz dokonać zmiany ustawień dotyczących cookies